Hjem Fremover tenking Er 450 mm skiver fremtiden for chip-making?

Er 450 mm skiver fremtiden for chip-making?

Video: Understanding mm, cm, m, and km (Oktober 2024)

Video: Understanding mm, cm, m, and km (Oktober 2024)
Anonim

Bak alle de nye dingsene og alle kule applikasjonene vi kjører, ligger prosessorer, minne og andre komponenter som gjør at systemene fungerer. Og bak alt det som er halvleder-prosessteknologi - det komplekse utvalget av design, verktøy, materialer og prosesseringstrinn som trengs for å bygge fungerende transistorer så små at 4000 av dem kan passe over bredden av et menneskehår og sette sammen milliarder av dem i en chip ikke større enn neglen.

Basert på Semicon West i forrige uke, det årlige showet som fokuserer på prosessteknologien i motsetning til prosessorene eller sluttbrukerenhetene, ser det ut til at hele industrien er klar til å flytte ny produksjon til 450 mm skiver, med start i løpet av de neste fem årene.

I dag er praktisk talt alle viktige prosessorer og minne laget på 300 mm skiver, omtrent 12 tommer over. Men de største brikkemakerne har snakket i årevis om å skifte til 450 mm wafer-teknologi - skiver omtrent 18 tommer over - fordi disse større skivene kan inneholde mer enn det dobbelte av antallet brikker, men forhåpentligvis vil koste betydelig mindre enn dobbelt så mye som 300 mm produksjon. Inntil nylig har mange av utstyrsleverandørene dratt føttene fordi det siste store trekket fra 200 mm til 300 mm endte opp med å koste dem mye i forskning og utvikling med relativt lite å vise til det. Men nå ser det ut til at nesten alle kommer om bord med ideen.

På konferansen viste Paul A. Farrar, daglig leder for Global 450 Consortium, en gruppe av de ledende halvledereindustrien, inkludert GlobalFoundries, Intel, IBM, Samsung og TSMC med hovedkontor rundt College of Nanoscale Science and Engineering i Albany, og viste veikart som inkluderte 450 mm demonstrasjoner på 14nm i 2013 til 2015 med utstyret klart for brikkeprodusenter på 10nm og utover i 2015 til 2016.

Alle de store produsentene diskuterte 450 mm verktøy. Nikon sa at den har mottatt en ordre fra G450 Consortium om en 450 mm 193nm ArF fordypningsskanner som skal brukes til prosessutvikling, og sa at den også har mottatt en ordre fra en ikke navngitt "større enhetsprodusent." ASML sa at det vil være frakt på 450 mm ekstrem ultrafiolett litografi (EUV) og fordypningsverktøy omtrent samtidig. Canon viste hva den sa er den første optisk mønstrede 450 mm skiven, mens Molecular Imprints viste resultater for en 450 mm skive mønstret ved hjelp av sin nano-avtrykk litografi.

En ting som ser ut til å drive denne overgangen, er de økende kostnadene for produksjon ved mindre noder. Selv om industrien har snakket om EUV-litografi i årevis og ASML spesielt har siteret forbedringer, er dette fremdeles ikke klart for produksjon, da de nåværende verktøyene ikke tillater hastighet og volum produsentene trenger, delvis på grunn av problemer med strømkilden. ASML sier at det nå har 11 EUV-systemer i felt, og har planer om en ny generasjon verktøy med bedre kraftkilder, men ingen driver i full skala med EUV fordi verktøyene ikke er raske og pålitelige nok.

I stedet bruker produsentene de nåværende 193nm fordypningsverktøyene, og ved 20nm og derover blir de tvunget til å bruke verktøyene to ganger på kritiske lag på skiven for å få den presisjon de trenger. Denne dobbeltmønstringen - og potensielt firemønsteringen - gir tid og utgifter til skiveproduksjonen.

Som GlobalFoundries administrerende direktør Ajit Manocha bemerket i en hovednote, begynner kostnadene for litografi allerede å dominere totale produksjonskostnader for skiver. Med multimønster på fordypningsskannere blir dette enda verre. "Vi trenger sårt EUV, og EUV er fremdeles ikke klar, " sa han.

På andre områder snakket Manocha om behovet for støperiinnovasjon i mobilitetstiden, og diskuterte alt fra selskapets 14XM FinFET-prosess til andre teknikker som FD-SOI, nanotråd og III-V sammensatte halvledere (egentlig brikker som bruker mer eksotiske materialer). Interessant nok nevnte han en mulig overgang til III-V FinFET-er i 2017 for 7nm, selv om det ikke hørtes ut som et spesifikt engasjement.

Han sa at de største utfordringene næringen står overfor er økonomiske. Ved noden 180nm var det bare 15 maskeringer; ved 20nm / 14nm-nodene er det mer enn 60 maskeringer, og hvert lag gir flere muligheter for å mislykkes, hvorav en kan gjøre en hel skive ubrukelig. "Alt dette legger virkelig sammen, " sa han og viste hvordan kostnadene for chipdesign på 130 nm (som var vanlig i forkant for et tiår siden, og fremdeles brukes av noen bakkantbrikker), var $ 15 millioner.; på 20nm, er det $ 150 millioner. Tilsvarende har kostnadene for prosessdesign økt fra 250 millioner dollar til 1, 3 milliarder dollar, og fabelen for å produsere brikken har økt fra 1, 45 milliarder dollar til omtrent 6, 7 milliarder dollar i dag.

For å bekjempe dette snakker andre verktøyleverandører om teknikker utover litografi, for eksempel chipstabling med gjennom-silisium vias (TSV-er) designet for å produsere flere lag med flis; og nye verktøy for avsetning og fjerning av materialer. Selskaper inkludert Applied Materials, LAM Research, Tokyo Electron og KLA-Tencor skyver løsningene sine.

I andre nyheter fra showet snakket Karen Savala, president i SEMI Americas, om "renessansen" for USAs industri og rollen som halvlederindustrien, og sa at industrien nå utgjør 245 000 direktejobber og omtrent en million totale jobber i USAs forsyningskjede.

SEMI regner med at utstyrsutgiftene vil være svakt nede i år, etterfulgt av en økning på 21 prosent neste år, hovedsakelig på grunn av fortsatt støperiutgifter til 20nm-produksjon, nye fabrikasjoner fra NAND-flash-fabrikker og Intels oppgradering av fabrikken i Irland.

Er 450 mm skiver fremtiden for chip-making?